summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorVictor Shyba <victor.shyba@gmail.com>2015-09-20 19:28:22 -0300
committerVictor Shyba <victor.shyba@gmail.com>2015-09-24 19:40:51 -0300
commitcb7aa314ad4d47e9f32e9e111ec13976978ed02d (patch)
tree34961338847d3d646e962fb7479ee66f3a72dc00
parent0e954f3328b7b8c31c88e0bee796230e87bca829 (diff)
[feat] enable delayed commits
We use CouchDB with single doc read/write. Following this documentation about performance, we should get more performance by enabling couch to delay and commit later. See: http://guide.couchdb.org/draft/performance.html#single
-rw-r--r--common/src/leap/soledad/common/couch.py2
1 files changed, 1 insertions, 1 deletions
diff --git a/common/src/leap/soledad/common/couch.py b/common/src/leap/soledad/common/couch.py
index 809af05d..014b3f38 100644
--- a/common/src/leap/soledad/common/couch.py
+++ b/common/src/leap/soledad/common/couch.py
@@ -357,7 +357,7 @@ def couch_server(url):
:type url: str
"""
session = Session(timeout=COUCH_TIMEOUT)
- server = Server(url=url, session=session)
+ server = Server(url=url, full_commit=False, session=session)
yield server